Курсовой проект по дисциплине «Разработка информационно-управляющих систем» на тему «Генератор шума»

У нас на сайте представлено огромное количество информации, которая сможет помочь Вам в написании необходимой учебной работы. 

Но если вдруг:

Вам нужна качественная учебная работа (контрольная, реферат, курсовая, дипломная, отчет по практике, перевод, эссе, РГР, ВКР, диссертация, шпоры...) с проверкой на плагиат (с высоким % оригинальности) выполненная в самые короткие сроки, с гарантией и бесплатными доработками до самой сдачи/защиты - ОБРАЩАЙТЕСЬ!

Курсовой проект по дисциплине «Разработка информационно-управляющих систем» на тему «Генератор шума»

Содержание

Введение

1 Определение

2 Статистические свойства белого шума

3 Применения белого шума

4 Математический обзор белого шума.

5 Принцип работы генератора шума.

6 Реализация генератора шума в Multisim.

7 Реализация генератора шума в Proteus.

Заключение

Список использованных источников

Приложение А – схема электрическая принципиальная.

Приложение Б – перечень элементов.

Приложение В – исходный код программы микроконтроллера.

 

 

Введение

 

Для того чтобы добывать информацию, можно использовать множество средств. Самыми эффективными сегодня являются различные технические миниатюрные устройства, которые можно легко и скрытно установить где угодно, прослушивая или подглядывая за происходящим.

Такие средства используются как со стороны разведки и правоохранительных органов, так и в криминальных структурах. Применяют их иногда частные лица и бизнесмены.

Чтобы испортить слежку злоумышленникам, можно воспользоваться специальным электронным устройством под названием генератор шума (ГШ). Он создает помехи рядом с местами, где необходимо подавить возможные сигналы слежки недоброжелателей [1].

1         Определение

 

Белый шум — стационарный шум, спектральные составляющие которого равномерно распределены по всему диапазону задействованных частот. Примерами белого шума являются шум близкого водопада (отдаленный шум водопада — розовый, так как высокочастотные составляющие звука затухают в воздухе сильнее низкочастотных), или дробовой шум на клеммах большого сопротивления, или шум стабилитрона, через который протекает очень малый ток. Название получил от белого света, содержащего электромагнитные волны частот всего видимого диапазона электромагнитного излучения. Кроме белого, существуют шумы многих цветов.

В природе и технике «чисто» белый шум (то есть белый шум, имеющий одинаковую спектральную мощность на всех частотах) не встречается (ввиду того, что такой сигнал имел бы бесконечную мощность), однако под категорию белых шумов попадают любые шумы, спектральная плотность которых одинакова (или слабо отличается) в рассматриваемом диапазоне частот [2].

Характерный «снег» на экране телевизора, сопровождаемый белым шумом при отсутствии сигнала изображен на рисунке 1.1.

Пример реализации процесса со свойствами белого шума изображен на рисунке 1.2.

Рисунок 1.1 – Белый шум при отсутствии сигнала на телевизоре.

 

Рисунок 1.2 – Процесс со свойствами белого шума.

 

 

2 Статистические свойства белого шума

 

Термин «белый шум» обычно применяется к сигналу, имеющему автокорреляционную функцию, математически описываемую дельта-функцией Дирака по всем измерениям многомерного пространства, в котором этот сигнал рассматривается. Сигналы, обладающие этим свойством, могут рассматриваться как белый шум. Данное статистическое свойство является основным для сигналов такого типа.

То, что белый шум не коррелирован по времени (или по другому аргументу), не определяет его значений во временной (или любой другой рассматриваемой аргументной) области. Наборы, принимаемые сигналом, могут быть произвольными с точностью до главного статистического свойства (однако постоянная составляющая такого сигнала должна быть равна нулю). К примеру, последовательность символов 1 и −1, умноженная на последовательность дельта-функций, следующих с частотой следования символов, будет являться белым шумом только если последовательность символов будет некоррелирована. Сигналы, имеющие непрерывное распределение (к примеру, нормальное распределение), также могут быть белым шумом.

Дискретный белый шум — это просто последовательность независимых (то есть статистически не связанных друг с другом) чисел. С использованием генератора псевдослучайных чисел пакета Visual C++, дискретный белый шум можно получить так:

x[i] = 2 * ((rand()/((double)RAND_MAX)) - 0.5)

В данном случае x — массив дискретного белого шума (без нулевой частотной составляющей), имеющего равномерное распределение от −1 до 1.

Иногда ошибочно предполагается, что гауссовый шум эквивалентен белому шуму. Однако эти понятия не эквивалентны. Гауссовый шум предполагает распределение значений сигнала в виде нормального распределения, тогда как термин «белый» имеет отношение к корреляции сигнала в два различных момента времени (эта корреляция не зависит от распределения значений шума). Белый шум может иметь любое распределение — как Гаусса, так и распределение Пуассона, Коши и т. д. Гауссовый белый шум в качестве модели хорошо подходит для математического описания многих природных процессов.

 

 

3 Применения белого шума

 

Белый шум находит множество применений в физике и технике. Одно из них — в архитектурной акустике. Для того чтобы скрыть нежелательные шумы во внутренних пространствах зданий, генерируется стационарный белый шум малой мощности.

В электронной музыке белый шум используется как в качестве одного из инструментов музыкальной аранжировки, так и в качестве входного сигнала для специальных фильтров, формирующих шумовые сигналы других типов. Широко применяется также при синтезировании аудиосигналов, обычно для воссоздания звучания ударных инструментов, таких как тарелки.

В последнее время многие педиатры рекомендуют использовать звуки белого шума для успокоения и хорошего сна младенцев; предполагается, что в матке малыш постоянно слышал белый шум: стук сердца матери, работу желудка, шум крови в сосудах.

Белый шум используется для измерения частотных характеристик различных линейных динамических систем, таких как усилители, электронные фильтры, дискретные системы управления и т. д. При подаче на вход такой системы белого шума на выходе получаем сигнал, являющийся откликом системы на приложенное воздействие. Ввиду того, что комплексная частотная характеристика линейной системы есть отношение преобразования Фурье выходного сигнала к преобразованию Фурье входного сигнала, получить эту характеристику математически достаточно просто, причём для всех частот, для которых входной сигнал можно считать белым шумом.

Во многих генераторах случайных чисел (как программных, так и аппаратных) белый шум используется для генерирования случайных чисел и случайных последовательностей.

В операционной системе Linux консольная команда speaker-test, генерирующая белый либо розовый шум, используется для проверки наушников/колонок.

 

 

4 Математический обзор белого шума.

 

Вектор случайных чисел:

Вектор случайных чисел  является последовательностью отсчетов белого шума, когда его среднее значение  и автокорреляционная матрица  удовлетворяют следующим равенствам:

То есть, это вектор случайных чисел с нулевым средним значением, автокорреляционная матрица которого представляет собой диагональную матрицу с дисперсиями по главной диагонали.

 

Белый случайный процесс (белый шум):

Непрерывный во времени случайный процесс , где , является белым шумом тогда и только тогда, когда его математическое ожидание и автокорреляционная функция удовлетворяют следующим равенствам соответственно:

Если величина  не зависит от времени, то случайный процесс является стационарным белым шумом, если зависит от времени – нестационарным белым шумом.

 

5 Принцип работы генератора шума.

 

Далеко не все средства, эффективно показывающие себя в помещении, подходят для автомобилей. Примером могут служить микрофоны, снабженные приспособлениями для передачи данных в ИК-диапазоне. Для них потребуется тончайшая настройка, которую в полевых условиях выполнить крайне сложно. Кроме того, должны отсутствовать помехи в направлении луча, что на улице реализовать почти невозможно. По аналогичным причинам не подойдут и лазерные микрофоны. Остаются стетоскопы, диктофоны и навязывание на высоких частотах, реализуемые по радиоканалу.

Самый популярный генератор шума образует белые или розовые шумы. Чтобы разобрать речь, диапазон разбивают на полосы с одинаковым коэффициентом. Если используемая система — непрофессиональная, то имеется семь полос октав. Если разборчивость составляет от тридцати до восьмидесяти процентов, то погрешность будет до двух процентов для помехи речеподобной, до пяти процентов для розового и белого шумов, а также порядка пятнадцати процентов для спадающего шума, имеющего плотность шесть децибел на высокочастотную сторону октавы.

Эффективность защиты информации, передаваемой в речи, зависит от поставленных целей. Например, необходимо скрыть смысл или тему разговора.

Речь, при наличии шума, будет восприниматься с потерями частей сообщений. Так, прослушивая фонограмму, где использовался генератор шума, можно будет констатировать, что разговор был. А вот тему его раскрыть не удастся. Проведенные опыты показали, что разборчивость падала примерно на шестьдесят-семьдесят процентов, а при коротком содержании — до сорока-пятидесяти. Понятно, что, имея лишь до тридцати процентов понимания речи, установить предмет дискуссии крайне затруднительно.

Рисунок 5.1 – Применение генератора шума.

 

Опыты показали, что эффективнее всего показывает себя розовый шум, а также речеподобная помеха. Для скрытия разговора необходим генератор шума, осуществляемый помехи на девять децибел. Для белого шума и шума со спадом понадобится десять и тринадцать децибел. Для эффективного действия устройства нужно знать фоновый шум. К примеру, вне салона автомобиля он равен от тридцати до тридцати пяти децибел. Тогда среднее звукоизоляционное значение должно равняться тридцати децибелам.

Эффективными себя показали акустически-вибрационные средства зашумления. При этом они недорого стоят и легко устанавливаются. Генератор шума работает в акустическом частотном диапазоне, гарантируя снижение разборчивости после записи. Наиболее простым методом белого шума является применение шумящих электронных деталей, которые способствуют усилению напряжения.

Принцип действия приборов заключается в излучении ультразвуковых колебаний, которые не слышатся ухом человека. Дело в том, что люди воспринимают звуки в линейном диапазоне, а микрофон на диктофоне не является линейной деталью. Поэтому на входе устройства возникает интерференция, приводящая к подавлению записи. Так как уровень колебаний ультразвука составляет от восьмидесяти до ста децибел, то он может без вреда для здоровья использоваться и в помещениях, и в транспорте.

Генератор шума «Гном» - одно из устройств, борющихся с побочными электромагнитными излучениями. Выпускалось несколько моделей прибора. Сначала они были громоздкими, а затем уменьшались в размере, оставаясь такими же эффективными. Разработка «Гном 5» является примером компактного и удобного устройства, находящегося под рукой. Принцип действия прибора реализуется в работе с персональным компьютером, защищая его от утечки информации. Размещается устройство в системном блоке.

Рисунок 5.2 –  Генератор шума «Гном».

 

Наряду со шпионской техникой существуют и специальные устройства для защиты информации. Но никто, кроме нас самих, не будет использовать их. Только в наших руках находится информационная защита. А реализовывать ее или нет — личное решение каждого.

 

 

6 Реализация генератора шума в Multisim.

 

Из множества изученных нами источников следует, что генератор белого шума может быть реализован по-разному. Самые простые реализуются на базе нескольких электро-компонентов (рис. 6.1), а самые сложные базируются на применении транзисторов, операционных усилителей и прочих микросхем.

Рисунок 6.1 – Простой генератор шума.

 

Однако нами за основу был взят генератор шума, реализованный на транзисторах [3]. Принципиальная схема генератора белого шума приведена в приложении А.

В качестве транзистора был выбран n-p-n транзистор BC846B (рис. 6.2), он обладает следующими характеристиками [4]:

-                    Напряжение коллектор-эмиттер, не более: 65 В

-                    Напряжение коллектор-база, не более: 80 В

-                    Напряжение эмиттер-база, не более: 6 V

-                    Ток коллектора, не более: 0.1 А

-                    Рассеиваемая мощность коллектора, не более: 0.25 Вт

-                    Коэффициент усиления транзистора по току (hfe): от 200 до 450

-                    Граничная частота коэффициента передачи тока: 150 МГц

-                    Корпус: SOT-23

 

Рисунок 6.2 – Транзистор ВС846В.

 

Также в схеме присутствуют резисторы и конденсаторы различных номиналов/емкостей. В качестве сигнала используется источник постоянного напряжения 4,5 В.

 

Для симуляции работы генератора белого шума была выбрана программа Multisim 12.0 (рис. 6.3) – это программное обеспечение промышленного стандарта. Оно применяется для моделирования и программирования схем для аналоговой, цифровой и силовой электроники в образовательной и исследовательской областях.

Рисунок 6.3 – О программе «Multisim».

 

Далее перенесем принципиальную схему в Multisim (рис. 6.4).

Рисунок 6.4 – Электрическая принципиальная схема генератора белого шума в Multisim

 

Затем подключаем осциллограф к выходу конденсатора С3 (рис 6.5).

Рисунок 6.5 – Подключение осциллографа.

 

После запуска симуляции на осциллограмме отчетливо виден шум (рис. 6.6).

Рисунок 6.6 – Осциллограмма белого шума.

 

В данной схеме второй транзистор используется для усиления шума. Это легко отследить, задействовав второй канал осциллографа (рис. 6.7).

Рисунок 6.7 – Подключение второго канала осциллографа.

Рисунок 6.8 – Два шума при одинаковом масштабе.

 

Как видно на осциллограмме (рис. 6.8) при одинаковом масштабе канала A и канала B осциллографа, шум на сигнале, идущем с одного транзистора (синий) не заметен. Однако при уменьшении масштаба можно заметить, что это тоже шум (рис 6.9).

Рисунок 6.9 – Два шума при разных масштабах.

 

Таким образом, в рамках курсового проекта нами была просимулирована работа генератора белого шума, построенного на базе транзисторов. При необходимости данное устройство можно будет собрать на основании принципиальной схемы (приложение А) и перечня элементов (приложение Б).

 

7 Реализация генератора шума в Proteus.

 

Генератор шума, реализованный в программе Multisim невозможно выполнить из-за программных особенностей Proteus. Поэтому нами был выбран генератор шума на основе сдвигового регистра и цифро-аналогово преобразователя [5]. Схема генератора шума (рис. 7.1), а также результаты его работы (рис. 7.2) приведены на рисунках.

Рисунок 7.1 – Схема генератора шума в Proteus.

Рисунок 7.2 – Работа генератора шум.

Как описывалось ранее, генераторы шумов используются в том числе и для защиты важных переговоров, поэтому добавим источник сигнала, содержащий человеческую речь и наложим генерируемый нами шум. Схема и графики приведены на рисунке (рис. 7.3).

Рисунок 7.3 – Наложение шума на человеческую речь.

 

На осциллограмме желтым цветом обозначен выходной аудио сигнал, зеленым – входной. Также приведены более подробные графики (слева входной сигнал, справа выходной).

При желании, шум можно усилить или ослабить, с помощью операционных усилителей, схема их подключения (рис 7.4) и выходной шум (рис 7.5) приведены на рисунках.

Рисунок 7.4 – Каскад операционных усилителей.

Рисунок 7.5 – Усиленный шум.

 

Переменный резистор RV2 служит для позиционирования сигнала относительно нулевого значения. Переменные резисторы RV1, RV3 и RV4 служат для изменения уровня шума.

Далее в качестве источника сигнала будем использовать микроконтроллер ATmega128, который будет генерировать случайную последовательность 0 и 1 (программа микроконтроллера приведена в приложении В). Для объединения двух сигналов воспользуемся сумматором, построенным на базе операционного усилителя [6]. Схема сумматора приведена на рисунке (рис. 7.6).

Рисунок 7.6 – Сумматор на операционном усилителе.

 

Подключим 2 переключателя на порт микроконтроллера, один отвечает за включение/выключение шума, другой за инвертирование сигнала (с целью повышения защиты). Переключение производится с помощью реле.

Теперь, когда система готова (рис. 7.7), подсоединим выходной сигнал системы, сигнал генерируемый с микроконтроллера и шум к осциллографу.

Рисунок 7.7 – Генератор шума, подключенный к микроконтроллеру.

Далее посмотрим осциллограммы в трех состояниях:

·        шум выключен, не инверсный режим (рис. 7.8);

·        шум включен, не инверсный режим (рис. 7.9);

·        шум включен, инверсный режим (рис. 7.10).

На всех графиках желтым обозначается выходной сигнал системы, красным – сигнал, генерируемый микроконтроллером, зеленый – шум.

Рисунок 7.8 – Осциллограмма при выключенном шуме.

 

Рисунок 7.9 – Осциллограмма при включенном шуме без инверсии.

 

Рисунок 7.10 – Осциллограмма при включенном шуме с инверсией.

 

Заключение

 

Темой курсовой работы был выбран генератор шума – это специальное устройство, которое предназначено для защиты от возможного прослушивания беспроводными микрофонами и диктофонами. Эти генераторы создают «белый шум», который не дает возможности просушивающим устройствам обрабатывать полученную информацию.

Генераторы шума могут применяться внутри и снаружи помещения. Прибор не излучает электромагнитных волн, потому не несет угрозы здоровью людей. Наиболее востребованы генераторы шума для защиты важных переговоров.

 В рамках курсовой работы нами был спроектирован генератор шума на базе транзисторов, работа которого была симулирована с помощью программы Multisim, и генератор шума на базе сдвигового регистра и цифро-аналогово преобразователя, работа которого была симулирована с помощью программы Proteus.

 

Список использованных источников

 

1 SYL. Генератор шума. [Электронный ресурс] URL: https://www.syl.ru/article/233580/new_generator-shuma-printsip-deystviya-shema (Дата обращения 16.06.2020).

2 Википедия. Белый шум. [Электронный ресурс] URL: https://ru.wikipedia.org/wiki/Белый_шум (Дата обращения 16.06.2020).

3 Ассоциация радиолюбителей. Глушилки широкополосные [Электронный ресурс] URL: https://vrtp.ru/index.php?showtopic=18778&hl=jammer&st=300 (Дата обращения 17.06.2020).

4        Справочник радиолюбителя. Транзистор ВС846В. [Электронный ресурс] URL: https://www.radiolibrary.ru/reference/transistor-imp/bc846b.html (Дата обращения 17.06.2020).

5        All about circuits. [Электронный ресурс] URL: https://forum.allaboutcircuits.com/threads/how-to-generate-noise-in-proteus.124333/ (Дата обращения 24.06.2020).

6        Практическая электроника. Сумматор на ОУ. [Электронный ресурс] URL: https://www.ruselectronic.com/summator/ (Дата обращения 26.06.2020).

 

 

 

 

 

Приложение А – схема электрическая принципиальная.

Приложение Б – перечень элементов.

 

Приложение В – исходный код программы микроконтроллера.

 

#define F_CPU 16000000UL

#include <avr/io.h>

#include <avr/interrupt.h>

#include <util/delay.h>

#include <stdlib.h>

#define TIMER_FREQ 1000

 

uint32_t _millis = 0;

uint32_t millis(void);

uint64_t micros(void);

 

ISR(TIMER0_COMP_vect) {

            _millis++;

}

 

void timer0_init(void) {

            // режим сброс при совпадении

            // предделитель 64

            ASSR= 0<<AS0;

            TCCR0 = (0<<WGM00) | (0<<COM01) | (0<<COM00) | (1<<WGM01)

            | (1<<CS02) | (0<<CS01) | (0<<CS00);

            TCNT0 = 0x00;

            OCR0 = ((F_CPU / 64) / TIMER_FREQ) - 1;

            TIMSK |= (1<<OCIE0);

}

 

uint32_t millis(void) {

            return _millis;

}

 

uint64_t micros(void) {

            return (uint64_t)_millis * 1000 + TCNT0 * 64 / 10;

}

 

int main(void)

{

            int i = 0;

            int r;

            DDRA = 0b00110101;

            PORTA = 0b00001010;

            bool vhod;

            bool invers;

           

            // глобально запретим прерывания

            cli();

            timer0_init();  

            // глобально разрешим прерывания

            sei();

                       

            while(1)

            {

                        r = rand() % 2;

                       

                        vhod =             PINA&(1 << PA1);

                        if(vhod == 0) {PORTA |= (1 << PA2);} else {PORTA &= ~(1 << PA2);}

 

                        invers = PINA&(1 << PA3);

                        if(invers == 0) {PORTA |= (1 << PA4);} else {PORTA &= ~(1 << PA4);}                                             

                       

                        if (r == 1)

                        {

                                               PORTA |= (1 << PA0);//вкл порт

                                               PORTA &= ~(1 << PA5);

                                               for (i = 1; i < 400; i = i + 1){micros();}

                        }

                        else

                        {

                                               PORTA &= ~(1 << PA0); //выкл порт

                                               PORTA |= (1 << PA5);

                                               for (i = 1; i < 400; i = i + 1){micros();}

                        }

            }

}